Project

General

Profile

Statistics
| Revision:

root / trunk / code / projects / libdragonfly / analog.c @ 1924

History | View | Annotate | Download (11.5 KB)

1
/**
2
 * Copyright (c) 2007 Colony Project
3
 * 
4
 * Permission is hereby granted, free of charge, to any person
5
 * obtaining a copy of this software and associated documentation
6
 * files (the "Software"), to deal in the Software without
7
 * restriction, including without limitation the rights to use,
8
 * copy, modify, merge, publish, distribute, sublicense, and/or sell
9
 * copies of the Software, and to permit persons to whom the
10
 * Software is furnished to do so, subject to the following
11
 * conditions:
12
 * 
13
 * The above copyright notice and this permission notice shall be
14
 * included in all copies or substantial portions of the Software.
15
 * 
16
 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
17
 * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
18
 * OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
19
 * NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
20
 * HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
21
 * WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
22
 * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
23
 * OTHER DEALINGS IN THE SOFTWARE.
24
 **/
25

    
26
/**
27
 * @file analog.c
28
 * @brief Analog input and output
29
 *
30
 * Contains functions for manipulating the ADC on the Dragonfly board.
31
 * 
32
 * @author Colony Project, CMU Robotics Club
33
 * originally taken from fwr analog file (author: Tom Lauwers)
34
 * loop code written by Kevin Woo and James Kong
35
 **/
36

    
37
#include <util/delay.h>
38
#include <avr/interrupt.h>
39
#include "analog.h"
40
#include "serial.h"
41
// Internal Function Prototypes
42
void set_adc_mux(int which);
43

    
44
/**
45
 * @defgroup analog Analog
46
 * Functions for manipulation the ADC on the dragonfly board.
47
 * All definitions may be found in analog.h.
48
 *
49
 * @{
50
 **/
51

    
52
volatile int adc_loop_status = ADC_LOOP_STOPPED;
53
volatile int adc_sig_stop_loop = 0;
54
volatile int adc_current_port = 0;
55
volatile adc_t an_val[11];
56

    
57
/**
58
 * Initializes the ADC.
59
 * Call analog_init before reading from the analog ports.
60
 *
61
 * @see analog8, analog10, analog_get8, analog_get10
62
 *
63
 * @bug First conversion takes a performance penalty of
64
 * 25 vs. 13 ADC clock cycles of successive conversions.
65
 * Analog_init should run a dummy conversion to pre-empt
66
 * this.
67
 *
68
 * For good 10-bit precision, ACD clock must be between
69
 * 50kHz and 200kHz. Currently, ADC clock is fixed at
70
 * 125kHz using 1/64prescalar. However, most code uses
71
 * 8-bit precision which can work at ADC clock speeds
72
 * higher than 200kHz. Experimental tests needed to
73
 * determine highest clock speed for accurate 8-bit ADC.
74
 *
75
 **/
76
void analog_init(int start_conversion) {
77
    start_conversion=0;                   //forces the analog loop off
78
    for (int i = 0; i < 11; i++) {
79
        an_val[i].adc10 = 0;
80
        an_val[i].adc8 = 0;
81
    }
82

    
83
    // ADMUX register
84
    // Bit 7,6 - Set voltage reference to AVcc (0b01)
85
    // Bit 5 - ADLAR set to simplify moving from register
86
    // Bit 4 - X
87
    // Bit 3:0 - Sets the current channel
88
    // Initializes to read from AN1 first (AN0 is reservered for the BOM)
89
    ADMUX = 0;
90
    ADMUX |= ADMUX_OPT | _BV(MUX0);
91

    
92
    // ADC Status Register A
93
    // Bit 7 - ADEN is set (enables analog)
94
    // Bit 6 - Start conversion bit is set (must be done once for free-running mode)
95
    // Bit 5 - Enable Auto Trigger (for free running mode) NOT DOING THIS RIGHT NOW
96
    // Bit 4 - ADC interrupt flag, 0
97
    // Bit 3 - Enable ADC Interrupt (required to run free-running mode)
98
    // Bits 2-0 - Set to create a clock divisor of 2, to make ADC clock != 8,000,000/64 = 125kHz (it runs at highest frequency)
99
    ADCSRA = 0;
100
    ADCSRA |= _BV(ADEN) | /*_BV(ADPS2) | _BV(ADPS1) |*/ _BV(ADPS0);
101

    
102
    // Set external mux lines to outputs
103
    DDRG |= 0x1C;
104

    
105
    // Set line sensor mux lines to output.  Uses LCD/SPI header
106
    DDRD |=0xE0;        
107

    
108
    // Set up first port for conversions
109
    set_adc_mux(0x00);
110
    adc_current_port = AN1;
111

    
112
    //Start the conversion loop if requested
113
    if (start_conversion)
114
        analog_start_loop();
115

    
116
    //Conversion loop disabled by default
117
}        
118

    
119
/**
120
 * Returns the 8-bit analog conversion of which from
121
 * the lookup table. If the requested port is the BOM_PORT
122
 * you will get an automatic 0 since the BOM_PORT is not
123
 * read in the loop and not stored. If you need that port
124
 * you should use the functions in bom.c. There is an analog_get8
125
 * function which for instant lookups but should be avoided unless
126
 * you know what you're doing.
127
 *
128
 * @param which the port that you want to read
129
 *
130
 * @bug may cause a seg fault if which is a larger value
131
 * than exists in an_val table. Not sure if we should fix
132
 * this or not since it would add overhead.
133
 *
134
 * @return 8-bit analog value for the which port requested
135
 *
136
 * @see analog10, analog_get8, analog_get10
137
 **/
138
unsigned int analog8(int which) {
139
    /*        if (which == BOM_PORT) {
140
        return 0;
141
        } else {
142
        return an_val[which - 1].adc8;
143
        }
144
     */
145
    return analog_get8(which);
146
}
147

    
148
/**
149
 * Returns the 10-bit analog conversion of which from
150
 * the lookup table. If the requested port is the BOM_PORT
151
 * you will get an automatic 0 since the BOM_PORT is not
152
 * read in the loop and not stored. If you need that port
153
 * you should use the functions in bom.c. There is an analog_get10
154
 * function which for instant lookups but should be avoided unless
155
 * you know what you are doing.
156
 *
157
 * @param which the port that you want to read
158
 *
159
 * @bug may cause a seg fault if which is a larger value
160
 * than exists in an_val table. Not sure if we should fix
161
 * this or not since it would add overhead.
162
 *
163
 * @return 10-bit analog value for the which port requested
164
 *
165
 * @see analog8, analog_get8, analog_get10
166
 **/
167
unsigned int analog10(int which) {
168
    /*        if (which == BOM_PORT) {
169
        return 0;
170
        } else {
171
        return an_val[which - 1].adc10;
172
        }
173
     */
174
    return analog_get10(which);
175
}
176

    
177
/**
178
 * Starts the analog update loop. Will continue to run
179
 * until analog_stop_loop is called.
180
 *
181
 * @see analog_stop_loop, analog_loop_status
182
 **/
183
void analog_start_loop(void) {
184
    if(adc_loop_status != ADC_LOOP_RUNNING){
185
        //Start the conversion, enable ADC interrupt
186
        ADCSRA |= _BV(ADIE);
187
        ADCSRA |= _BV(ADSC);
188
        adc_loop_status = ADC_LOOP_RUNNING;
189
    }
190
}
191

    
192
/**
193
 * Stops the analog update loop. If there is a current
194
 * read, it will finish up and be stored before the loop
195
 * is interrupted. No further updates will be made until
196
 * the loop is started again.
197
 *
198
 * @see analog_start_loop, analog_loop_status
199
 **/
200
void analog_stop_loop() {
201
    //Signal to stop after the next conversion
202
    adc_sig_stop_loop = 1;
203
}
204

    
205
/**
206
 * Returns the status of loop. 0 for stopped.
207
 * 1 for running. 2 for paused.
208
 *
209
 * @see analog_start_loop, analog_stop_loop
210
 **/
211
int analog_loop_status(void) {
212
    return adc_loop_status;
213
}
214

    
215
/**
216
 * Reads an 8-bit number from an analog port.
217
 * analog_init must be called before using this function.
218
 * The analog loop must also be stopped before using this
219
 * function or you will mess up the lookup table. You
220
 * must also reenabled the loop when you are done unless
221
 * you are doing more instant reads. See analog_stop_loop
222
 * and analog_start_loop for more information about the loop.
223
 * 
224
 * @param which the analog port to read from. One of
225
 * the constants AN0 - AN7.
226
 *
227
 * @return the 8-bit input to the specified port
228
 *
229
 * @see analog_init, analog_get10, analog8, analog_stop_loop,
230
 * analog_start_loop
231
 **/
232
unsigned int analog_get8(int which) {        
233
    // Let any previous conversion finish
234
    while (ADCSRA & _BV(ADSC));
235

    
236
    if(which < EXT_MUX) {
237
        ADMUX = ADMUX_OPT + which;
238
    } else {
239
        ADMUX = ADMUX_OPT + EXT_MUX;
240
        set_adc_mux(which - 8);
241
    }
242

    
243
    // Start the conversion
244
    ADCSRA |= _BV(ADSC);
245

    
246
    // Wait for the conversion to finish
247
    while (ADCSRA & _BV(ADSC));
248

    
249
    return ADCH; //since we left aligned the data, ADCH is the 8 MSB.
250
}
251

    
252
/**
253
 * Reads an 10-bit number from an analog port.
254
 * analog_init must be called before using this function.
255
 * The analog loop must also be stopped before using this
256
 * function or you will mess up the lookup table. You
257
 * must also reenabled the loop when you are done unless
258
 * you are doing more instant reads. See analog_stop_loop
259
 * and analog_start_loop for more information about the loop.
260
 * 
261
 *
262
 * @param which the analog port to read from. Typically
263
 * a constant, one of AN0 - AN7.
264
 *
265
 * @return the 10-bit number input to the specified port
266
 * 
267
 * @see analog_init, analog_get8, analog10, analog_stop_loop,
268
 * analog_start_loop
269
 **/
270
unsigned int analog_get10(int which) {
271
    int adc_h;
272
    int adc_l;
273

    
274
    // Let any previous conversion finish
275
    while (ADCSRA & _BV(ADSC));
276

    
277
    if(which < EXT_MUX) {
278
        ADMUX = ADMUX_OPT + which;
279
    } else {
280
        ADMUX = ADMUX_OPT + EXT_MUX;
281
        set_adc_mux(which - 8);
282
    }
283

    
284
    // Start the conversion
285
    ADCSRA |= _BV(ADSC);
286

    
287
    // Wait for the conversion to finish
288
    while (ADCSRA & _BV(ADSC));
289

    
290
    adc_l = ADCL;
291
    adc_h = ADCH;
292

    
293
    return ((adc_h << 2) | (adc_l >> 6));
294
}
295

    
296

    
297
/** Returns the 10 bit value from the line sensors **/
298
unsigned int read_line(int which) {
299
    int adc_h;
300
    int adc_l;
301

    
302
    // Let any previous conversion finish
303
    while (ADCSRA & _BV(ADSC));
304

    
305
    ADMUX = ADMUX_OPT + AN1;
306

    
307
     which = ((which&1)<<2) + ((which&2)) + ((which&4)>>2);
308

    
309
    // mask so only proper bits are possible.  
310
    PORTD = (PORTD & 0x1F) | ((which & 0x07) << 5);
311

    
312
    delay_ms(1);
313

    
314
    // Start the conversion
315
    ADCSRA |= _BV(ADSC);
316

    
317
    // Wait for the conversion to finish
318
    while (ADCSRA & _BV(ADSC));
319

    
320
    adc_l = ADCL;
321
    adc_h = ADCH;
322

    
323
    return ((adc_h << 2) | (adc_l >> 6));
324

    
325
}
326

    
327

    
328

    
329

    
330
/**
331
 * Returns the current position of the wheel, as an integer
332
 * in the range 0 - 255.
333
 * analog_init must be called before using this function.
334
 *
335
 * @return the orientation of the wheel, as an integer in
336
 * the range 0 - 255.
337
 *
338
 * @see analog_init
339
 **/
340
int wheel(void) {
341
    return analog8(WHEEL_PORT);
342
}
343

    
344

    
345
/**
346
 * Sets the value of the external analog mux. Values are read
347
 *         on AN7 physical port. (AN8 - AN15 are "virtual" ports).
348
 *
349
 * @param which which analog mux port (0-7) which corresponds
350
 *                   to AN8-AN15.
351
 *
352
 * @bug FIX THIS IN THE NEXT BOARD REVISION:
353
 *                ADDR2 ADDR1 ADDR0
354
 *                G2.G4.G3 set mux to port 0-7 via vinary selection
355
 *                math would be much cleaner if it was G4.G3.G2
356
 *
357
 * @see analog_init
358
 **/
359
void set_adc_mux(int which) {  
360
    // mask so only proper bits are possible.  
361
    PORTG = (PORTG & 0xE3) | ((which & 0x03) << 3) | (which & 0x04);
362
}
363

    
364
/**@}**/ //end defgroup
365

    
366

    
367
ISR(ADC_vect) {
368
    int adc_h = 0;
369
    int adc_l = 0;
370

    
371
    if(adc_loop_status != ADC_LOOP_RUNNING) return;
372

    
373
    //Store the value only if this read isn't for the BOM
374
    if (ADMUX != BOM_PORT) {
375
        adc_l = ADCL;
376
        adc_h = ADCH;
377

    
378
        an_val[adc_current_port - 1].adc10 = (adc_h << 2) | (adc_l >> 6);
379
        an_val[adc_current_port - 1].adc8 = adc_h;
380
    }
381

    
382
    //Skip AN7 because it is not a real port
383
    if (adc_current_port == AN6) {
384
        ADMUX = ADMUX_OPT | EXT_MUX;
385
        set_adc_mux(AN8 - 8);
386
        adc_current_port = AN8;
387
        //Wrap around
388
    } else if (adc_current_port == AN11) {
389
        adc_current_port = AN1;
390
        ADMUX = ADMUX_OPT | adc_current_port;
391
        //Normal increment
392
    } else {
393
        adc_current_port++;
394

    
395
        if(adc_current_port < EXT_MUX) {
396
            ADMUX = ADMUX_OPT | adc_current_port;
397
        } else {
398
            ADMUX = ADMUX_OPT | EXT_MUX;
399
            set_adc_mux(adc_current_port - 8);
400
        }
401
    }
402

    
403
    //Stop loop if signal is set
404
    if(adc_sig_stop_loop) {
405
        adc_sig_stop_loop = 0;
406
        adc_loop_status = ADC_LOOP_STOPPED;
407
        return;
408
    }
409

    
410
    //Start next conversion
411
    ADCSRA |= _BV(ADSC);
412
}
413